Embedded Electronics Blog

Xilinx ChipScope Tutorial

Using the ChipScope Pro for Testing HDL Designs on FPGAs

Introduction:

Simulation based method is widely used for debugging the FPGA design on computers. Time required for simulating complex design for all possible test cases becomes prohibitively large and simulation approach fails. For rapid testing, such designs can be loaded on to the target FPGAs and tested by applying test inputs and directly observing their outputs. As the complexity of the design under test increases, so does the impracticality of attaching test equipment probes to these devices under test. The ChipScope Pro tools integrate key logic analyzer and other test and measurement hardware components with the target design inside the FPGA. Computer based software tool communicate with these hardware components and provide a designer robust logic analyzer solution.

In this tutorial we will use simple UP counter design and test it using ChipScope.

You can download the PDF file of this tutorial from here.

NOTE: For more detailed information on various settings and parameters of ChipScope Pro, refer to ‘ChipScope Pro 10.1 Software and Cores User Guide’.

Couter Design:

Create a new project in the Xilinx ISE and paste the following counter code in top module (cntr.v):

module cntr(
input rst,
input clk,
output [3:0] count
);

reg [25:0] cnt;
assign count[3:0] = cnt[25:22];

always @(posedge clk) begin
  if(rst)
    cnt <= 0;
  else
    cnt <= cnt + 1;
end
endmodule

To assign the constraints to the design, create new file named ‘cntr.ucf’ and add it to ISE project. Paste following constrains in ‘cntr.ucf’ file. These constraints are applicable for XUP-V2P Development board.

NET “count<0>” LOC = AC4;
NET “count<1>” LOC = AC3;
NET “count<2>” LOC = AA6;
NET “count<3>” LOC = AA5;
NET “clk” LOC = AJ15;
NET “rst” LOC = AG5;

These constraints connect the ‘rst’ signal to ENTER button on the development board. Output nets are connected to on board LEDs.

Configuring the logic analyzer core:

In order to test the counter design we have to configure and insert the logic analyzer core in our design. Follow these steps:

· In the ‘Sources’ view right click on the top module (cntr.v) and select ‘New Source’.

· In the ‘New Source Wizard’ window, select ‘ChipScope Definition and Connection File’ and specify the filename as ‘debug’. Click ‘Next’.

· Now we have to associate this debug.cdc file with our desired top module. Thus select ‘cntr’ from list of the modules. If there are multiple modules shown, select the one which you wish to test. Click ‘Next’ and then click ‘Finish’.

Note that ‘debug.cdc’ file has been added to your ‘Sources’ list and is listed below the selected top module (cntr).

· Double click on ‘debug.cdc’ to launch the ChipScope Pro Core Inserter application. This application will integrate the logic analyzer core into our counter design. Do not alter any settings on the first screen. Click ‘Next’.

· To observe any signal, we have to specify the trigger. Logic analyzer core will start capturing the desired signal upon activation of trigger signal. In this example we want to monitor the counter’s counting action as soon as ‘rst’ signal is deactivated. So we will create two trigger ports. One port will be ‘rst’ signal and another port will be counter’s eight least significant bits.

Set ‘Number of trigger ports’ to 2.

In ‘TRIG0’ frame set ‘Trigger Width’ as 1 (since ‘rst’ is one bit signal).

In ‘TRIG1’ frame set ‘Trigger Width’ as 8 (as we want to observe counter’s 8 least significant bits).

Click ‘Next’.

· Now in this window we will specify capture parameters. We want to use our trigger ports as data ports which will be recorded by logic analyzer. We also want to sample data on rising clock edge.

In ‘Sample On’ list select ‘Rising’.

Set Number of samples to be recorded by changing ‘Data Depth’ to 1024 samples. This will record 1024 samples from the trigger event. You can at the most record 16K samples.

Select both check boxes in ‘Trigger Ports Used As Data’ frame.

Click ‘Next’.

· Now we will specify which signal(s) to be used as Clock and Trigger.

Click on ‘Modify Connections’.

· Select the ‘Clock signals’ Pane, then select ‘clk_BUFG’ signal from the left hand side list and then click on ‘Make Connection’. This will add ‘clk’ signal as the clock signal for logic analyzer.

· Now select ‘Trigger/Data signals’ pane. Select ‘TP0’ and connect ‘rst_IBUF’ signal to CH0 channel.

· Similarly click on ‘TP1’ pane and add connect counter’s lowe eight bits to eight channels.

Click ‘OK’ once you finish making connections.

· Now in the main window click on ‘Return to Project Navigator’. It will ask for saving the project, click ‘Yes’.

Now we are ready to compile the entire counter design along with the logic analyzer core.

· In the ISE, select top level module ‘cntr’ and in the ‘Processes’ pane double click on ‘Analyze Design Using ChipScope’. This will start the process to synthesize combined unit consisting of design under test (in this case counter) and the ChipScope cores.

Debugging the design using ChipScope Analyzer tool:

 

Once the synthesis gets over, ISE will launch the Analyzer tool. Make sure that FPGA board is connected to PC.

· Once the analyzer tool is running, click on ‘Initialize JTAG Chain’ icon located at the top right corner of the window. This will initialize the JTAG chain and identify the devices found in the chain. A dialog box will appear showing the devices discovered. Click ‘OK’.

· Now select the FPGA device from the JTAG chain, right click and then select ‘Configure’ to specify the configuration bit stream file.

· Select the bit stream file ‘cntr.bit’ from the bit stream folder. Then click ‘OK’.

· IMPORTANT: After clicking ‘OK’, tool will load the bit stream file into FPGA and check the availability of debugging cores. If debugging core is found tool will show ‘INFO: Found 1 Core Unit in the JTAG device Chain.’ Message in status window.

If you see ‘Found 0 Core …’ message instead, then either you have selected wrong bit stream file or something has gone wrong in one of the previous steps and debugging core has not been inserted properly into the design.

If everything is fine then you will see options for Logic Analyzer core inserted in our design. Now double click on the ‘Trigger Setup’ element to launch trigger setup window. And for trigger port 0 (i.e. ‘rst’ signal) specify the trigger Value 0.

This will make logic analyzer to trigger as soon as ‘rst’ become zero and record 1024 samples on successive clock edges. Note that trigger signals are sampled on rising clock edge.

Double click on ‘Waveform’ element to see the waveform.

· Now everything is ready. To apply the settings and ARM the trigger click on button. After that press the ‘Down’ button on the development board to release the ‘rst’ signal. This will trigger the logic analyzer. Once 1024 samples are recorded, this data will be transferred to PC and will be displayed in the waveform window.

Final data is uploaded on PC and displayed in waveform window.

NOTE: To see the names of the trigger ports, you can import the ‘debug.cdc’ file in analyzer tool. Click on File>Import and then select ‘debug.cdc’

NOTE: For more detailed information on various settings and parameters of ChipScope Pro, refer to ‘ChipScope Pro 10.1 Software and Cores User Guide’.

Exit mobile version